5verilog相关的结果

  • SystemVerilog语言实验

    本次课程主要讲述了SystemVerilog语言实验,其所涉及的内容包括: 1、 进程管理 2、 精细的进程控制 3、 随机约束 4、 SystemVerilog与C语言的接口 5、 功能覆盖率

    授课老师:吴老师 所属分类:FPGA
    课程时长:00:57:03 关键词: systemverilog、ststemverilog实验

  • SystemVerilog语言培训

    Systemverilog语言在业内采用率超过80%,已经成为现今最主要的设计验证语言。SystemVerilog简称为SV语言,是一种相当新的语言,它建立在Verilog语言的基础上,是 IEEE 1364 Verilog-2001 标准的扩展增强,兼容Verilog 2001,将硬件描述语言(HDL)与现代的高层级验证语言(HVL)结合了起来,并新近成为下一代硬件设计和验证的语言。 SystemVerilog结合了来自 Verilog、VHDL、C++的概念,还有验证平台语言和断言语言,也就是说,它将硬件描述语言(HDL)与现代的高层级验证语言(HVL)结合了起来。使其对于进行当今高度复杂的设计验证的验证工程师具有相当大的吸引力。 这些都使得SystemVerilog在一个更高的抽象层次上提高了设计建模的能力。它主要定位在芯片的实现和验证流程上。SystemVerilog(SV)拥有芯片设计及验证工程师所需的全部结构,它集成了面向对象编程、动态线程和线程间通信等特性,作为一种工业标准语言,SV全面综合了RTL设计、测试平台、断言和覆盖率,为系统级的设计及验证提供强大的支持作用。 Systemverilog除了作为一种高层次,能进行抽象建模的语言被应用外,它的另一个显著特点是能够和芯片验证方法学结合在一起,即作为实现方法学的一种语言工具。当前主流的验证方法学VMM/UVM都是基于systemverilog开发的。而且使用systemverilog自身也能搭建极为优异的验证环境并完成验证。因此systemverilog语言是数字芯片、FPGA设计验证工程师的必学语言。 在学习systemverilog的时候,你是否面临这些问题: 1、 看了一遍systemverilog书籍,但是还是不理解这些抽象的概念? 2、无法系统性掌握systemverilog,无法深入了解,只能浮在表面? 3、不知道该如何动手实现各个功能,无从下笔? 这些问题本课程都可以给你解决! 本课程是systemverilog理论+实例教学课程,体系化讲解systemverilog的知识和用法,帮助大家全面彻底掌握systemverilog语言。Systemverilog课程及实践项目共分为三部分理论+实例,systemverilog实验;基于systemverilog建立面向对象验证平台。其内容包括: 1、 文本值语法 2、 数据类型(上/下) 3、 数组(上/下) 4、 数据声明 5、 操作符与表达式 6、 过程语句和控制流 7、 进程 8、 任务与函数 9、 类 10、 随机约束(上/下) 11、 接口 12、 进程与进程间的通信 13、 功能覆盖率(上/下) 14、 SV与C语言的接口

    授课老师:吴老师 所属分类:FPGA
    课程时长:7:14:26 关键词: systemverilog

  • Verilog实验

    本次课程讲述了Verilog实验第一章节:四选一的多路选择器,共有十九个章节,也就是十九个实验,包括: 1、四选一的多路选择器 2、译码器 3、优先编码器 4、计数器 5、算数操作 6、逻辑操作 7、移位操作 8、上升沿触发的触发器 9、带异步复位、上升沿触发的触发器 10、带异步复位和置位、上升沿触发的触发器 11、带同步复位、上升沿触发的触发器 12、一段式状态机 13、两段式状态机 14、三段式状态机 15、并行crc_16校验码产生器 16、8位伪随机序列发生器 17、双端口RAM仿真模型 18、同步FIFO缓存器设计 19、异步FIFO缓存器设计

    授课老师:吴老师 所属分类:FPGA
    课程时长:3:47:25 关键词: Verilog实验、Verilog

  • Verilog语言培训

    Verilog HDL是一种硬件描述语言,用于从算法级、门级到开关级的多种抽象设计层次的数字系统建模。它的语言描述能力:设计的行为特性、设计的数据流特性、设计的结构组成以及包含响应监控和设计验证方面的时延和波形产生机制。此外,Verilog HDL语言提供了编程语言接口,通过该接口可以在模拟、验证期间从设计外部访问设计,包括模拟的具体控制和运行。 Verilog并非程序语言,它不是在处理器上顺序执行的;而是硬件语言,体现在芯片内逻辑单元之间的布局布线。Verilog语法比较灵活,易上手,专业说法是跟VHDL相比不够严谨。 在应用上,FPGA亮点在于并行处理,用在自主开发通信接口、芯片前期设计,有比较明显的优势,一些高端的数字信号处理算法也应当借助FPGA实现。特别是现在的SOPC越来越强。Altera的芯片已经集成ARM。各种硬核软和都可用,可以实现单芯片方案。另外,工作岗位上,芯片设计、系统测试等方面都会用到。不过在航天领域还是VHDL用的多。 Verilog培训课程的内容包括以下几点: 1、Verilog的概述 2、Verilog的词汇 3、Verilog的数据类型及逻辑 4、结构描述 5、Verilog操作符 6、行为建模 7、Verilog验证 8、Verilog Test Benth使用简介 9、存储器建模 10、Verilog中的高级结构 11、Verilog的可综合描述风格

    授课老师:吴老师 所属分类:FPGA
    课程时长:3:57:57 关键词: Verilog系列课程

  • 至简设计法教程Verilog快速掌握新版

    Verilog HDL作为一种硬件描述语言是我们在学习FPGA时所必须掌握的工具之一。虽然Verilog HDL的最大优点是易学易用,但是由于其语法较为自由,因此初学者在使用的过程中容易犯一些错误。 明德扬至简设计法由拥有多年FPGA代码编写经验的潘文明老师首创,不仅能让初学者在短时间内掌握Verilog语言,而且编写出的代码简洁无冗余、准确度高。我们将通过一系列案例,让您得到关于Verilog的高效点拨。

    授课老师:潘文明 所属分类:FPGA
    课程时长:1:38:11 关键词: FPGA verilog 至简设计法

5 条记录 1/1 页

热门排行
学习打卡-大神在线解答