全部课程  >   FPGA  >   RTL编码规范

  • RTL编码规范

    手机扫码观看
    随时随地学习

  • 在集成电路设计中,RTL是用于描述同步数字电路操作的抽象级。 在RTL级,IC是由一组寄存器以及寄存器之间的逻辑操作构成。RTL描述是可以表示为一个有限状态机,或是一个可以在一个预定的时钟周期边界上进行寄存器传输的更一般的时序状态机,通常VHDL/Verilog两种语言进行描述。RTL电路是最早研制成功的一种有实用价值的集成电路并且电路结构简单,元件少。 在项目开发维护中,编码规范作为开发规范的一个组成部分,是十分重要和必须的,它不仅仅是为了提高开发效率,也有利于降低后期维护开发的成本。编码规范的根本目的是不仅代码可以一目了然,也可以很容易的理解开发人员所编写的代码程的用途和意义。由此,用来减少项目中因为开发维护人员的更替或由于长时间不维护造成的记忆模糊或混乱等情况带来的对代码所实现的真正功能的理解困难和歧义。另外也提高了代码复查效率和效果。 本次课程主要讲述了RTL编码规范,其内容包括: 1、 命名规范 2、 代码编写规范 3、 逻辑设计注意事项

  • 5
    0人评价 |  464人收藏
  • ¥299.9
  •   


加入官方QQ群:587764486 一起学习打卡!大神在群在线解答! 我要报错

简介

  • 课程概况:在集成电路设计中,RTL是用于描述同步数字电路操作的抽象级。 在RTL级,IC是由一组寄存器以及寄存器之间的逻辑操作构成。RTL描述是可以表示为一个有限状态机,或是一个可以在一个预定的时钟周期边界上进行寄存器传输的更一般的时序状态机,通常VHDL/Verilog两种语言进行描述。RTL电路是最早研制成功的一种有实用价值的集成电路并且电路结构简单,元件少。 在项目开发维护中,编码规范作为开发规范的一个组成部分,是十分重要和必须的,它不仅仅是为了提高开发效率,也有利于降低后期维护开发的成本。编码规范的根本目的是不仅代码可以一目了然,也可以很容易的理解开发人员所编写的代码程的用途和意义。由此,用来减少项目中因为开发维护人员的更替或由于长时间不维护造成的记忆模糊或混乱等情况带来的对代码所实现的真正功能的理解困难和歧义。另外也提高了代码复查效率和效果。 本次课程主要讲述了RTL编码规范,其内容包括: 1、 命名规范 2、 代码编写规范 3、 逻辑设计注意事项
  • 标签: RTL编码规范
  • 课程分类:FPGA
  • 语言: 中文
  • 总时长:1:11:37
扫码关注课程小当家,拉您加入FPGA技术讨论群

目录

课程详情

点评

评分

热门排行
学习打卡-大神在线解答