全部课程  >   FPGA  >   SystemVerilog语言培训

  • SystemVerilog语言培训

    手机扫码观看
    随时随地学习

  • Systemverilog语言在业内采用率超过80%,已经成为现今最主要的设计验证语言。SystemVerilog简称为SV语言,是一种相当新的语言,它建立在Verilog语言的基础上,是 IEEE 1364 Verilog-2001 标准的扩展增强,兼容Verilog 2001,将硬件描述语言(HDL)与现代的高层级验证语言(HVL)结合了起来,并新近成为下一代硬件设计和验证的语言。 SystemVerilog结合了来自 Verilog、VHDL、C++的概念,还有验证平台语言和断言语言,也就是说,它将硬件描述语言(HDL)与现代的高层级验证语言(HVL)结合了起来。使其对于进行当今高度复杂的设计验证的验证工程师具有相当大的吸引力。 这些都使得SystemVerilog在一个更高的抽象层次上提高了设计建模的能力。它主要定位在芯片的实现和验证流程上。SystemVerilog(SV)拥有芯片设计及验证工程师所需的全部结构,它集成了面向对象编程、动态线程和线程间通信等特性,作为一种工业标准语言,SV全面综合了RTL设计、测试平台、断言和覆盖率,为系统级的设计及验证提供强大的支持作用。 Systemverilog除了作为一种高层次,能进行抽象建模的语言被应用外,它的另一个显著特点是能够和芯片验证方法学结合在一起,即作为实现方法学的一种语言工具。当前主流的验证方法学VMM/UVM都是基于systemverilog开发的。而且使用systemverilog自身也能搭建极为优异的验证环境并完成验证。因此systemverilog语言是数字芯片、FPGA设计验证工程师的必学语言。 在学习systemverilog的时候,你是否面临这些问题: 1、 看了一遍systemverilog书籍,但是还是不理解这些抽象的概念? 2、无法系统性掌握systemverilog,无法深入了解,只能浮在表面? 3、不知道该如何动手实现各个功能,无从下笔? 这些问题本课程都可以给你解决! 本课程是systemverilog理论+实例教学课程,体系化讲解systemverilog的知识和用法,帮助大家全面彻底掌握systemverilog语言。Systemverilog课程及实践项目共分为三部分理论+实例,systemverilog实验;基于systemverilog建立面向对象验证平台。其内容包括: 1、 文本值语法 2、 数据类型(上/下) 3、 数组(上/下) 4、 数据声明 5、 操作符与表达式 6、 过程语句和控制流 7、 进程 8、 任务与函数 9、 类 10、 随机约束(上/下) 11、 接口 12、 进程与进程间的通信 13、 功能覆盖率(上/下) 14、 SV与C语言的接口

  • 5
    0人评价 |  449人收藏
  • ¥299
  •   


加入官方QQ群:587764486 一起学习打卡!大神在群在线解答! 我要报错

简介

  • 课程概况:Systemverilog语言在业内采用率超过80%,已经成为现今最主要的设计验证语言。SystemVerilog简称为SV语言,是一种相当新的语言,它建立在Verilog语言的基础上,是 IEEE 1364 Verilog-2001 标准的扩展增强,兼容Verilog 2001,将硬件描述语言(HDL)与现代的高层级验证语言(HVL)结合了起来,并新近成为下一代硬件设计和验证的语言。 SystemVerilog结合了来自 Verilog、VHDL、C++的概念,还有验证平台语言和断言语言,也就是说,它将硬件描述语言(HDL)与现代的高层级验证语言(HVL)结合了起来。使其对于进行当今高度复杂的设计验证的验证工程师具有相当大的吸引力。 这些都使得SystemVerilog在一个更高的抽象层次上提高了设计建模的能力。它主要定位在芯片的实现和验证流程上。SystemVerilog(SV)拥有芯片设计及验证工程师所需的全部结构,它集成了面向对象编程、动态线程和线程间通信等特性,作为一种工业标准语言,SV全面综合了RTL设计、测试平台、断言和覆盖率,为系统级的设计及验证提供强大的支持作用。 Systemverilog除了作为一种高层次,能进行抽象建模的语言被应用外,它的另一个显著特点是能够和芯片验证方法学结合在一起,即作为实现方法学的一种语言工具。当前主流的验证方法学VMM/UVM都是基于systemverilog开发的。而且使用systemverilog自身也能搭建极为优异的验证环境并完成验证。因此systemverilog语言是数字芯片、FPGA设计验证工程师的必学语言。 在学习systemverilog的时候,你是否面临这些问题: 1、 看了一遍systemverilog书籍,但是还是不理解这些抽象的概念? 2、无法系统性掌握systemverilog,无法深入了解,只能浮在表面? 3、不知道该如何动手实现各个功能,无从下笔? 这些问题本课程都可以给你解决! 本课程是systemverilog理论+实例教学课程,体系化讲解systemverilog的知识和用法,帮助大家全面彻底掌握systemverilog语言。Systemverilog课程及实践项目共分为三部分理论+实例,systemverilog实验;基于systemverilog建立面向对象验证平台。其内容包括: 1、 文本值语法 2、 数据类型(上/下) 3、 数组(上/下) 4、 数据声明 5、 操作符与表达式 6、 过程语句和控制流 7、 进程 8、 任务与函数 9、 类 10、 随机约束(上/下) 11、 接口 12、 进程与进程间的通信 13、 功能覆盖率(上/下) 14、 SV与C语言的接口
  • 标签: systemverilog
  • 课程分类:FPGA
  • 语言: 中文
  • 总时长:7:14:26
扫码关注课程小当家,拉您加入FPGA技术讨论群

目录

查看更多

课程详情

点评

评分

热门排行
学习打卡-大神在线解答